利用集成计数器74LS161设计一个24进制计数器,并设计过程做简要说明