LIBRARY IEEE;

问题描述:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY test IS
PORT(
F:IN STD_LOGIC;
CLK:IN STD_LOGIC;
CARRY :IN STD_LOGIC;
RIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
OUT_LOW:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUT_HIGH:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END test;
ARCHITECTURE RTL OF test IS
SIGNAL F_IN:STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
PROCESS(CLK,F,CARRY)
BEGIN
F_IN
1个回答 分类:综合 2014-11-23

问题解答:

我来补答
信号赋值符号是“
再问: 太感谢你了!!!!恩人!!! 能再麻烦你一下么,那个_RIN是不是改成RIN? 再一次深深感谢T_T
再答: 是的,你声明的端口是RIN,就不能写成R_IN,二者必须一致。
 
 
展开全文阅读
剩余:2000