VHDL程序错误 Error (10482):VHDL error at washtop.vhd(33):object

问题描述:

VHDL程序错误 Error (10482):VHDL error at washtop.vhd(33):object "o" is used but not declared求助
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity washerTop is
port(
load,start,clk :in std_logic;
k :in std_logic_vector(5 downto 0);--数据开关,设置时间
a,b,c,d,e,f,g :out std_logic;
time_remain :buffer std_logic_vector(3 downto 0) );
end washerTop;
architecture top of washerTop is
component settime
port( load:in std_logic;
k :in std_logic_vector(5 downto 0);
o :out std_logic_vector(3 downto 0)
);
end component;
component counter
port( clk,start :in std_logic;
k :in STD_LOGIC_VECTOR(3 downto 0);
time_remain :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);
time_is_up :out std_logic
);
end component;
component showtime
port( remain_time :in std_logic_vector(3 downto 0);
a,b,c,d,e,f,g :out std_logic
);
end component;
begin
U0:settime
port map(load,k,o);
U1:counter
port map(clk,start,o,time_remain,timeUp );
U2:showtime
port map(time_remain,clk,a,b,c,d,e,f,g);
end top;
1个回答 分类:综合 2014-10-16

问题解答:

我来补答
egin
U0:
前面加上这句:
SIGNAL time_is_up :STD_LOGIC;
SIGNAL o,remain_time:STD_LOGIC_VECTOR(3 DOWNTO 0);
再问: 还是有错啊
再答: 在begin 前面加还有错??? port map(clk, start,o, time_remain, timeUp ); 中的 timeUP 是什么东西? 检查一遍程序有没有单词,符号全角半角错误这种低级错误吧
再问: 对啊。我的扣扣1 5 4 3 6 8 8 4 9 4,求帮助啊!
再答: 你settime中的K是5..0 counter中的K却是3..0
 
 
展开全文阅读
剩余:2000