VHDL的IF语句是 IF THEN ELSIF

问题描述:

VHDL的IF语句是 IF THEN ELSIF
1个回答 分类:综合 2014-10-08

问题解答:

我来补答
IF 条件1 then
满足条件1执行的代码;
elsif 条件2 then
满足条件2执行的代码;
(此处如果还有条件继续选择elsif,否则)
else
剩余情况下执行的代码;
end if;
IF语句的执行是有优先性选择的,程序一进来会先判断条件1是否满足,然后是条件2,一直继续下去.
 
 
展开全文阅读
剩余:2000
上一页:必修3第一单元
下一页:第4课时