vhdl语言里=>是啥意思啊?比如这个语句: if (RST-N = '0') then ADCDAT(15 downt

问题描述:

vhdl语言里=>是啥意思啊?比如这个语句: if (RST_N = '0') then ADCDAT(15 downto 0) '0');
什么叫others => '0'
1个回答 分类:数学 2014-10-14

问题解答:

我来补答
就是将ADCDAT的十六位清零.
others => '0' 是为了多位赋值为0的简便用法.就不用写成"0000000000000000"了.
 
 
展开全文阅读
剩余:2000
上一页:第一个解释一下
下一页:例二,求解