VHDL问题:can't determine definition of operator ""/""--found 0

问题描述:

VHDL问题:can't determine definition of operator ""/""--found 0 possible
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity frequency is
port(clk:in std_logic;
 frenow:out std_logic_vector(32 downto 0));
end frequency;
architecture BEHAVIORAL of frequency is
begin
process(clk)
variable e:real;
begin
e:=85899*50000000/4294967296;
frenow
1个回答 分类:综合 2014-09-21

问题解答:

我来补答
process(clk)
variable e:integer;
begin
e:=85899*50000000/4294967296;
frenow
 
 
展开全文阅读
剩余:2000
上一页:14,16
下一页:对一下