verilog的one

问题描述:

verilog的one
1个回答 分类:综合 2014-10-20

问题解答:

我来补答
verilog的一种编码方式.
独热(one-hot)码
所谓的独热码是指对任意给定的状态,状态向量中只有1位为1,其余位都是为0.n状态的状态机需要n个触发器.这种状态机的速度与状态的数量无关,仅取决于到某特定状态的转移数量,速度很快.当状态机的状态增加时,如果使用二进制编码,那么状态机速度会明显下降.而采用独热码,虽然多用了触发器,但由于状态译码简单,节省和简化了组合逻辑电路.独热编码还具有设计简单、修改灵活、易于综合和调试等优点.对于寄存器数量多、而门逻辑相对缺乏的FPGA器件,采用独热编码可以有效提高电路的速度和可靠性,也有利于提高器件资源的利用率.独热编码有很多无效状态,应该确保状态机一旦进入无效状态时,可以立即跳转到确定的已知状态.通过独热码可是实现简单的有限状态机.
上述讲的不知清楚不,你可以搜索下独热码,有不少文章,可以学习了解下.谢谢!
 
 
展开全文阅读
剩余:2000
上一页:为什么只选B
下一页:第8题练习