vhdl '0'&a +

问题描述:

vhdl '0'&a +
a和b是8位
1个回答 分类:数学 2014-09-25

问题解答:

我来补答
在你给出的表达式中,由于连接运算符&和加法运算符+的优先级相同,不能够这样表达,要么('0' & a) + b,要么'0' & (a + b),不能省略括号.
('0' & a) + b的意思是,将8位数组a的前面添加一个'0',成为9位的数组,然后再与8位数组b相加.
'0' & (a + b)的意思是,将8位数组a和b相加之后,在其结果的前面添加一个'0'.
 
 
展开全文阅读
剩余:2000
上一页:这一步看不懂
下一页:这题详细解释