can't infer register for ENx because it changes value on bot

问题描述:

can't infer register for ENx because it changes value on both rising and falling edges of the clock
在VHDL语言编程时出现这样的错误
1个回答 分类:综合 2014-09-21

问题解答:

我来补答
你检查下代码,信号量ENx是不是一直在变化?类似于你这样写代码:
process(clk,reset)
begin
if reset = '0' then
ENx
 
 
展开全文阅读
剩余:2000
上一页:14,16
下一页:对一下