USE IEEE.STD-LOGIC-ARITH.用来干嘛的

问题描述:

USE IEEE.STD_LOGIC_ARITH.用来干嘛的
1个回答 分类:综合 2014-10-27

问题解答:

我来补答
指定singned和unsigned数据类型和相应的算术与比较操作.它可以包含有几个数据转换函数,允许数据从一种类型转换到另一种类型.
建议库资源同时声明三个
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
这样可以省去很多的时间.
你可以去图书馆或者去询问大学数电教授,毕竟百度回答这种专业的问题,基本上都是驴唇不对马嘴的太多,课件也很少.想学VHDL最好还是去买一本专业的书.然后才能学CPLD和FPGA.想学专业的知识,英语得学好,网上的很多都是纯英文的.希望能对你有所帮助.
 
 
展开全文阅读
剩余:2000