USE ieee.std-logic-1164.all; USE ieee.std-logic-unsigned.all

问题描述:

USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity suo_xian is port ( clk_out:in
错误是:Else Clause following a Clock edge must hold the state of signal “ge2”
1个回答 分类:综合 2014-10-07

问题解答:

我来补答
我爱你
 
 
展开全文阅读
剩余:2000
上一页:例2的第一问