Quartus中怎么用74160设计一个60进制的计数器