出租车计价器设计要求用VHDL编写

问题描述:

出租车计价器设计
要求用VHDL编写
1个回答 分类:综合 2014-12-09

问题解答:

我来补答
礼尚往来
小弟帮你
1、车速控制模块源程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY SPEED IS
PORT(
CLK,RESET,START:IN STD_LOGIC;
K :IN STD_LOGIC_VECTOR(4 DOWNTO 0);
CLKOUT :OUT STD_LOGIC
);
END SPEED;
ARCHITECTURE A OF SPEED IS
SIGNAL COUNT1 :STD_LOGIC_VECTOR(1 DOWNTO 0);
SIGNAL TEMPCLK,CLKS:STD_LOGIC;
SIGNAL KINSIDE :STD_LOGIC_VECTOR(4 DOWNTO 0);
BEGIN
KINSIDE
 
 
展开全文阅读
剩余:2000
下一页:原创8